您的位置:首页 > 其它

vivado2015.4 simulator 存储所有信号到 .wdb 文件 并打开波形文件查看波形

2018-04-12 15:32 302 查看

OS WIN7vivado 2015.4
vivado自带的仿真器

vivado project 包含一个block design, block design 中包含AXIPCIE, MIG, INTERCONNECTOR, 第三方IP,AXI APB BRIGE

仿真并保存所有信号到wdb文件的步骤:
1, simulation setting:vivado simulator, 仿真时间设置为10ns
2, run simulation
3,开始仿真时会弹出behavior simulation窗口,因为1中设置的仿真时间很短,所以会很快停下来
4,在tcl console中运行 log_wave -r /
5, run 1ms (这里的时间值是根据实际仿真情况设置的)
6,仿真结束后,可以看到 .sim/sim_1/behave/ 路径下的 _behav.wdb 文件

打开wdb文件并查看波形
1,vivado菜单栏的flow : open static simulation :选择上面6中提到的wdb文件
2,在scope或object栏里右击 add to wave

转载:http://bbs.eetop.cn/viewthread.php?tid=595292

 

 

 

 

第二种:

仿真完保存board_behav.wcfg文件,下次打开:

vivado菜单栏的flow : open static simulation :选择上面6中提到的wdb文件

然后

 

内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: