您的位置:首页 > 运维架构 > Linux

VCS makefile 文件编写

2018-04-07 14:35 537 查看
.PHONY: com cov clean debug
OUTPUT = simv_fsm_moore           #设置仿真文件名
ALL_DEFINE = +define+DUMP_VPD     #添加宏定义

#code coverage command
CM = -cm line+cond+fsm+branch+tgl    #设置覆盖率检测
CM_NAME = -cm_name $(OUTPUT)        #覆盖率名字
CM_DIR = -cm_dir ./$(OUTPUT).vdb

#vpd file name
VPD_NAME = +vpdfile+$(OUTPUT).vpd

#compile command
VCS = vcs -sverilog +v2k -timescale=1ns/1ns \
-debug_all                            \
+notimingcheck                        \
+nospecify                            \
+vcs+flush+all                        \
$(CM)                               \
$(CM_NAME)                          \
$(CM_DIR)                           \
$(ALL_DEFINE)                        \
$(VPD_NAME)                            \
-o $(OUTPUT)                        \
-l compile.log

#simulation command
SIM =./$(OUTPUT) \
$(CM) $(CM_NAME) $(CM_DIR)  \
$(VPD_NAME)\
-l $(OUTPUT).log

#start compile
com:
$(VCS) -f file_list.f

#start simulation
sim:
$(SIM)

#Show the coverage
cov:
dve -covdir *.vdb &
debug:
dve -vpd $(OUTPUT).vpd &

#Start clean
clean:
rm -rf ./csrc *.daidir ./csrc *.log *.vpd *.vdb simv* *.key *race.out*

其中 file_list.f为

-timescale=1ns/1ns

./rtl/fsm_moore.v

./tb/tb_fsm_moore.v
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签:  linux vcs