您的位置:首页 > 其它

UVM糖果爱好者教程 - 2.食谱

2018-03-16 15:40 791 查看
虽然上一篇文章澄清了 jelly-bean taster的验证部分,但这篇文章将聚焦在jelly-bean食谱。
jelly-bean食谱作为transaction从jelly_bean_sequencer传递给jelly_bean_driver。该transaction被称为jelly_bean_transaction。该transaction基于其风味,颜色和其他特征来定义jelly_bean。类似的jelly_bean_transaction也从jelly_bean_monitor传递给每个subscriber。
jelly_bean_sequencer不仅产生单个jelly_bean的配方 - 而且它能够产生多个jelly_bean的类似风味,作为一系列transaction,以及以礼品盒的形式收集多种风味的jelly_bean,作为一系列sequences。
transaction和sequence的类图如下所示。该图的颜色对应于上一篇文章中列出的颜色。浅蓝色方框表示UVM基本类库中的类,而较暗的方框表示本教程中创建的类。



                 验证组件类图



                             验证组件框图


内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签:  UVM systemverilog