您的位置:首页 > 编程语言

关于利用D触发器实现2/4分频的思路与VERILOG代码

2018-03-15 22:52 363 查看
首先要理解的是利用D触发器实现2分频的思路:verilog代码如下:
module div_2 (
input clk_in,input rst ,output clk_out);reg clk;always @( posedge clk or negedge rst )begin
if( !rst )clk<= 1'h0;else 
clk<=~clk;

endendmodule

4分频的设计是基于2分频再2分频实现的代码如下:module div_2( input clk_in,input rst,//output clk_out_1output clk_out   ); regclk ;  wireclk_out_1; regclk_2; // wire clk_m; always @(posedge clk_in or negedge rst ) if(!rst) begin clk<= 1'h0; clk_2 <= 1'b0; end else begin clk<= ~clk;  end assign clk_out_1 = clk;   always @ (posedge clk_out_1) if(!rst) begin clk_2 <= 1'b0; end  else begin  clk_2 <= ~clk_2; end assign clk_out = clk_2;endmodule下面附上仿真图:
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: