您的位置:首页 > 其它

uvm macro (常用的)[uvm_info]

2018-02-27 09:18 99 查看

`uvm_info(1,2,3)

1:ID
2:MSG
3:VERBOSITY
如果VERBOSITY级别低于reporter组件定义的级别,就会调用uvm_report_info



举例:
-->    `uvm_info("DRV_RUN",{req.sprint()},UVM_HIGH)
-->    `uvm_info("MY_INFO",$sformatf("pval:%0d",val),UVM_LOW)

也可以自行定制:
`uvm_info_begin("MYID","this is a message for test...",UVM_LOW)
    `uvm_message_add_tag("author”,ZHUHAI)

    `uvm_message_add_object(my_obj)

`uvm_info_end
    
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签:  uvm macro