您的位置:首页 > 其它

VHDL:conv_std_logic_vector的用法

2017-12-04 19:40 309 查看
std_logic_arith程序包里定义的数据转换函数:conv_std_logic_vector(A,位长)--INTEGER,SINGER,UNSIGNED转换成std_logic_vector。

       由于参考书上都没有具体说明,本以为是将原来的数据类型按位矢量输出,结果按这种用法编写的滤波器在接实际信号时,却使用输出图像全部反色,经modelsim波形仿真之后,才发现滤波器结构是正确的,可是调用了转换函数之后,结果非预期效果。

        对该转换函数做了测试之后,才发现:该函数的转换结果是将被转换的数据先转换成2进制补码形式,然后取其低“位长”,作为输出。

        如:a<=conv_std_logic_vector(-79,6)----(-79)2c=(10110001)

               b<=conv_std_logic_vector(-2,6)-----(-2)2c=(11111110)

                c<=conv_std_logic_vector(100,6)-----(100)2c=(01100100)

                输出结果:a=110001,b=111110,c=100100。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: