IP之ALTDDIO_in仿真
2017-10-17 20:26
731 查看
需要添加altera_mf库,才可以仿真。
上升沿输出,把前一个时钟的数据输出来。
`timescale 1 ns/ 1 ns;
module altddio_in_ip_tb;
reg rst;
reg clk;
reg [7:0] datain;
wire[7:0] dataouth;
wire[7:0] dataoutl;
altddio_in_ip u_altddio_in_ip (
.aclr ( rst ),
.datain ( datain ),
.inclock ( clk ),
.dataout_h ( dataouth ),
.dataout_l ( dataoutl )
);
initial
begin
#0 rst = 0;//高电平复位
clk = 1;
datain = 0;
end
always
begin
#10 clk = ~clk;
datain = datain + 1;
end
end module
#######################################################
altddio_out
在时钟的上升沿和下降沿分别输出时钟上升沿对应的两个数据。
和altddio_in添加一样的库。
`timescale 1 ns/1 ns;
module altddio_out_ip_tb;
reg rst;
reg[7:0] datain_h;
reg[7:0] datain_l;
reg outclk;
wire [7:0]dataout;
altddio_out_ip i_altddio_out_ip (
.aclr ( rst ),
.datain_h ( datain_h ),
.datain_l ( datain_l ),
.outclock ( outclk ),
.dataout ( dataout )
);
initial
begin
#0 rst = 0;
outclk = 0;
datain_h = 0;
datain_l = 255;
end
always
begin
#10 outclk = ~outclk;
end
always
begin
#20 datain_h = datain_h +1;
datain_l = datain_l -1;
end
endmodule
相关文章推荐
- MySQL启动报错“Bind on TCP/IP port: Address already in use”
- TCP/IP socket programming in C(一)
- some function about the GW ip address in the MS system
- Keepalived_vrrp: ip address associated with VRID not present in received packet
- A Crash Course in UNIX TCP/IP Socket Programming
- C language——convert ip address string into uint in linux
- 关于 lattice的 DDR_IP的仿真.
- leetcode -day29 Binary Tree Inorder Traversal & Restore IP Addresses
- FIR滤波器仿真----基于Quartus II的FIR II IP核与ModelSim-Altera的联合仿真
- Quick Tip: Configure Static IP in Centos 6.5
- mdk在使用stlinlk进行仿真时不实时更新解决方法
- c# pingreply ipaddress in win vista+
- TCP/IP Sockets in Java, Second Edition: Practical Guide for Programmers
- Quartus II 与ModelSim-Altera联合仿真FFT IP核之FFT IP核分析
- [MySQL]IP address '192.168.31.110' could not be resolved: Temporary failure in name resolution
- modelsim 仿真xilinx fir ip
- mysqld启动“ Can't start server: Bind on TCP/IP port: Address already in use Do you already have anothe
- IP address could not be resolved: Temporary failure in name resolution
- 获取微信公众号授权失败, 请稍后重试! 公众平台返回原始数据为: 错误代码-40164,错误信息-invalid ip, not in whitelist hint:
- MS12-032 - Vulnerability in TCP/IP Could Allow Elevation of Privilege