您的位置:首页 > 其它

modelsim 保存仿真结果(波形文件)

2017-09-06 16:51 459 查看
1、在wave界面,将仿真波形保存为 .do文件(信号文件)。

2、切换左边任务栏至“sim”,,点击保存,给将要保存的 .wlf文件(波形文件)命名。

3、保存,OK,关闭modelsim.

4、重启modelsim,open 之前保存的 .wlf文件,然后 load 对应的 .do文件。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: