您的位置:首页 > 其它

Verilog语言中产生随机数的方法

2017-09-05 12:19 513 查看
在Verilog语言中,系统任务 $random函数提供了一个产生随机数的方法。当函数被调用时返回一个32bit的随机数,它是一个带符号的整形数。

$random一般的用法是:$ramdom % b ,其中 b>0.它给出了一个范围在(-b+1):(b-1)中的随机数。

例1:reg[23:0] rand;  rand = $random % 60; 给出了一个范围在-59到59之间的随机数。

例2:reg[23:0] rand; rand = {$random} % 60; 通过位并接操作产生一个值在0到59之间的数。

例3:reg[23:0] rand; rand = min+{$random}%(max-min+1);

产生一个在min, max之间随机数的例子。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: