您的位置:首页 > 其它

Modelsim使用流程---基于TCL命令的仿真

2017-08-25 16:50 218 查看
Modelsim使用流程---基于TCL命令的仿真

本文使用的Modelsim版本为Modelsim SE-64 10.1.c

1.File -> new -> Project



2.添加或编写需要仿真的.v文件







3.编译.v文件



此时.v文件的状态会发生变化



3.开始仿真

Simulate -> Start simulation





将信号添加到波形窗口中(Add Wave):



在命令行中输入restart,目的在于清除当前的仿真结果,重启仿真程序



在命令行中输入如下TCL命令,命令解释

force -freeze sim:/tpg_1/reset_in 1 0, 0 100 ps

reset_in信号在初始状态下置1,保持100ps后,reset_in信号拉低。

force -freeze sim:/tpg_1/pclk_in 1 0, 0 {50 ps} -r 100

pclk_in为时钟信号,初始状态为1,保持50ps后,pclk_in信号拉低, -r表示重复命令,重复时间为100ps,即周期为100ps.



在命令行中输入run指令,即可以产生仿真波形了





下面就可以仔细分析波形结果啦!
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: