您的位置:首页 > 其它

关于modelsim仿真时出现Missing instance name in instantiation of 'xx'.

2017-08-09 14:14 2056 查看
    在进行嵌入式块RAM应用之双口RAM的testbench文件编写完成,设置好仿真脚本后进行功能仿真 时modelsim没有仿真波形出现,

并报错: # Loading work.dpram_tb

                # ** Error: (vsim-3037) E:/FPGA_Examples/13_dpram/prj/../testbench/dpram_tb.v(21): Missing instance                             name in instantiation of 'dpram'



然后上网找了下资料,发现了问题所在,即在编写testbench文件时在'dpram'的实例化中缺少实例名称。

程序贴出来给大家看看就明白了。

`timescale 1ns/1ns
`define clk_period 20
module dpram_tb;

reg clock;
reg [7:0] data;
reg [7:0] rdaddress;
reg [7:0] wraddress;
reg wren;

wire [7:0] q;
integer i;

dpram(
.clock(clock),
.data(data),
.rdaddress(rdaddress),
.wraddress(wraddress),
.wren(wren),
.q(q)
);


红色即为错误所在,乜缺少例化名称,应该改成
dpram  
dpram0();就行了。






                                            
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: 
相关文章推荐