您的位置:首页 > 其它

modelsim 10.4 UVM 仿真流程

2017-05-17 22:45 232 查看
准备工作

(1)下载modelsim 10.4的版本,软件下载链接和安装方法参考如下链接:

http://blog.csdn.net/u013753393/article/details/50349636

 

(2)下载张强的UVM书籍配套代码,以其书中的代码作为参考进行环境建立。将配套的代码建立目录D:/uvm_test/puvm。

下面以puvm/src/ch2/section2.2/2.2.1目录下的代码为例建立仿真环境

操作流程

1 改变目录

打开modelsim10.4,改变工作路径到D:/uvm_test/puvm/src/ch2/section2.2/2.2.1下

2 执行脚本化编译

2.1 vlib work

 

2.2 建立环境变量

set UVM_HOME  C:/modeltech64_10.4/verilog_src/uvm-1.1d

其中该目录是modelsim的安装目录。

set  WORK_HOME  D:/uvm_test/puvm

其中该目录是 src代码所在目录

2.3 编译相关代码

vlog +incdir+$UVM_HOME/src  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/src/ch2/dut/dut.sv top_tb.sv

2.4 运行

vsim -novopt -c-sv_lib C:/modeltech64_10.4/uvm-1.1d/win64/uvm_dpi  work.top_tb

一定要加上 –novopt选项防止dut被优化.

如果有任何错误,请欢迎提出建议(  QQ群  632285749 或者博客留言都可以)

参考链接

http://weixin.niurenqushi.com/article/2016-07-11/4359380.html
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签:  uvm modelsim仿真