modelsim 10.4 UVM 仿真流程
2017-05-17 22:45
232 查看
准备工作
(1)下载modelsim 10.4的版本,软件下载链接和安装方法参考如下链接:
http://blog.csdn.net/u013753393/article/details/50349636
(2)下载张强的UVM书籍配套代码,以其书中的代码作为参考进行环境建立。将配套的代码建立目录D:/uvm_test/puvm。
下面以puvm/src/ch2/section2.2/2.2.1目录下的代码为例建立仿真环境
操作流程
1 改变目录
打开modelsim10.4,改变工作路径到D:/uvm_test/puvm/src/ch2/section2.2/2.2.1下
2 执行脚本化编译
2.1 vlib work
2.2 建立环境变量
set UVM_HOME C:/modeltech64_10.4/verilog_src/uvm-1.1d
其中该目录是modelsim的安装目录。
set WORK_HOME D:/uvm_test/puvm
其中该目录是 src代码所在目录
2.3 编译相关代码
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv $WORK_HOME/src/ch2/dut/dut.sv top_tb.sv
2.4 运行
vsim -novopt -c-sv_lib C:/modeltech64_10.4/uvm-1.1d/win64/uvm_dpi work.top_tb
一定要加上 –novopt选项防止dut被优化.
如果有任何错误,请欢迎提出建议( QQ群 632285749 或者博客留言都可以)
参考链接
http://weixin.niurenqushi.com/article/2016-07-11/4359380.html
(1)下载modelsim 10.4的版本,软件下载链接和安装方法参考如下链接:
http://blog.csdn.net/u013753393/article/details/50349636
(2)下载张强的UVM书籍配套代码,以其书中的代码作为参考进行环境建立。将配套的代码建立目录D:/uvm_test/puvm。
下面以puvm/src/ch2/section2.2/2.2.1目录下的代码为例建立仿真环境
操作流程
1 改变目录
打开modelsim10.4,改变工作路径到D:/uvm_test/puvm/src/ch2/section2.2/2.2.1下
2 执行脚本化编译
2.1 vlib work
2.2 建立环境变量
set UVM_HOME C:/modeltech64_10.4/verilog_src/uvm-1.1d
其中该目录是modelsim的安装目录。
set WORK_HOME D:/uvm_test/puvm
其中该目录是 src代码所在目录
2.3 编译相关代码
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv $WORK_HOME/src/ch2/dut/dut.sv top_tb.sv
2.4 运行
vsim -novopt -c-sv_lib C:/modeltech64_10.4/uvm-1.1d/win64/uvm_dpi work.top_tb
一定要加上 –novopt选项防止dut被优化.
如果有任何错误,请欢迎提出建议( QQ群 632285749 或者博客留言都可以)
参考链接
http://weixin.niurenqushi.com/article/2016-07-11/4359380.html
相关文章推荐
- Modelsim SE-64 10.4建立UVM环境
- modelsim10.4 搭建uvm平台
- modelsim10.4 搭建uvm平台
- modelsim-win64-10.4-se 下载、安装、破解全攻略
- Verilog学习笔记(二):Modelsim SE-64 10.4 的下载、安装、及破解
- modelsim搭建uvm环境及实例
- modelsim-win64-10.4-se setup and crack
- modelsim-win64-10.4-se 下载、安装、破解全攻略
- UVM系统验证基础知识0(modelsim搭建第一个UVM环境及源码下载 )
- 激活modelsim se 10.4 时运行patch_dll.bat不能生成TXT
- Modelsim建立UVM环境
- 安装 modelsim 10.4
- modelsim-win64-10.4-se 下载、安装、破解全攻略
- modelsim10.4仿真错误Error: (vlog-7) Failed to open design unit file "XXXXX" in read mode解决办法
- modelsim-win64-10.4-se 下载、安装、破解全攻略(屡试不爽)
- modelsim-win64-10.4-se 下载、安装、破解全攻略
- (原創) 如何破解ModelSim-Altera 6.1g與ModelSim SE 6.3e? (IC Design) (ModelSim)
- 关于modelsim,xilinx,synplify比较好的链接
- Modelsim时序仿真中遇到的三个问题
- modelsim中仿真-批处理方式