您的位置:首页 > 运维架构

Synopsys 各软件 Verdi VCS DC PT详细破解说明

2016-09-16 12:26 435 查看
本文档对ictown.com的Synopsys破解说明文档进行了补充。本文在转载的基础上根据实际安装破解的流程做了补充编辑。仅作学术交流之用。
本破解已经验证适用2012.03以上各个版本,包括2015.06

1.下载对应版本的安装包

2.安装Installer_v3.2并进入对应的文件夹,

运行打开安装界面,按提示进行操作。若界面打不开也可以使用命令行方式安装。注意不允许使用root 安装,这点与一般软件有区别,因此安装目录放置在普通用户目录中

3.下载SCL11.9,同样使用installer_v3.2安装。Synopsys的软件破解文件统一使用SCL管理,因此安装verdi VCS synplify之前切记安装 SCL,否则无法破解。且统一使用同一各破解文件synopsys.dat。

4.选择对应的spf安装包的存放路径,并进行安装

5.下载NewSynopsysLicmaker.zip破解包,存放于windows客户端中

6.双击打开LicGen.exe,open打开对应的lpd文件,选择custom并输入目标linux主机的hostid(linux主机的MAC地址,命令ifconfig 查询eth_addr),生成对应的synopsys.dat文件,拷贝到Synopsys SSS Feature Keygen目录:

7.进入DOS界面,cd进入对应的Synopsys SSS Feature Keygen破解文件夹,输入:

sssverify synopsys.dat

注意synopsys.dat的路径

得到SECRET DATA

8、再双击打开KeyGen.exe,填入上一步得到的SECRET DATA和第2步使用的hostid(即MAC地址)

Generate之后在本目录下会产生一个license.dat文件。

9.将license.dat中的SSS拷贝到synopsys.dat。如:

INCREMENT SSS snpslmd 1.0 31-dec-2020uncounted BD48E7FB475E4BB7E68F \

       VENDOR_STRING="4632bb746a 85d97 583cb e754c 615b8 32c90 1ff55 \

       e6b1e102" HOSTID=xxxxxxxxxxxxx ISSUER="Synopsys Inc. [12/28/2012 \

       10:00:0019688]" NOTICE="Licensed to mammoth//ZWT 2006 [PLEASE DO \

       NOT DELETE THIS SSS KEY]"SN=RK:0:0:1 START=1-jan-2006

10.修改synopsys.dat的头两句,如:

SERVER <host_name> xxxxxxxxxxxx 27000

VENDOR snpslmd  /tool/synopsys/scl11.9/linux/bin/ snpslmd

DAEMON snpslmd  /tool/synopsys/scl11.9/linux/bin/ snpslmd

其中:snpslmd指先前安装在本地的SCL的snpslmd,替换HOSTID xxxxxxxxxxxx linux主机MAC地址,host_name 在linux命令hostname查询。可能会出现两行SERVER 的信息,只保留一行即可。可对照下图。

注意:有些破解说明文档中有用scl下的sssverify对synopsys.dat check的步骤,笔者也尝试了,竟然FAILED,
搞得很不爽,以为破解又失败。实际上完成11步后不用理会check也是能破解成功的。


11、上传linux主机后修改后的的synopsys.dat,设置.bashrc

主要是设置SNPSLMD_LICENSE_FILELM_LICENSE_FILE 这两个环境变量。

若安装了其他软件,依次将其路径加入。即可打开软件。

 

Synopsys系列软件下载链接:

http://www.ictown.com/forum.php?mod=viewthread&tid=99914
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息