UVM系统验证基础知识0(modelsim搭建第一个UVM环境及源码下载 )
2016-07-19 10:57
1136 查看
需求说明:UVM系统验证
内容 :IC设计验证
来自 :时间的诗
原文:http://www.ibowen.net/a/toutiao/252197.html
如果安装的是modelsim 10.1c版本的话,软件自动的将UVM的库给编译好了,生成了.dll文件,供UVM验证使用。
在modelsim的安装目录下的 UVM-1.1b/win64下,就有一个uvm_dpi.dll。
以hello_world.sv这个例子为例,说明验证环境搭建。在这个文件目录下,有3个文件。
Hello_world.sv: 验证的代码
Run.bat: windows运行的脚本
Sim.do: modelsim运行的脚本文件
对于hello_world.sv,该程序,就是输出一个hello uvm。
对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本。
对于sim.do文件
代码
#Time: 2016-07-19
#By : times_poem
quit -sim
cd F:/UVM/prj00
set UVM_DPI_HOME D:/software/modelsim10/uvm-1.1b/win64
if [file exists work] {
vdel -all
}
vlib work
vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.sv
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_example
run 100
设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置
建立work library
编译hello_world.sv代码,通过-L 指定编译需要的几个library
执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_dpi.dll,然后针对于哪一个module进行仿真
运行100ns
如果run.bat运行不成功,可以选择打开modelsim,再输入如下指令指定运行路径
再输入执行命令
最后,就会显示Hello UVM。
至此,验证环境搭建成功。后面,就是增加代码文件,然后在sim.do文件中,对增加的代码文件进行编译,然后针对于顶层module进行仿真即可。
源码下载链接:http://pan.baidu.com/s/1qXLXrLM 密码:s9h7
内容 :IC设计验证
来自 :时间的诗
原文:http://www.ibowen.net/a/toutiao/252197.html
1 创建代码
在modelsim中建立UVM环境,使用的UVM是UVM1.1b。如果安装的是modelsim 10.1c版本的话,软件自动的将UVM的库给编译好了,生成了.dll文件,供UVM验证使用。
在modelsim的安装目录下的 UVM-1.1b/win64下,就有一个uvm_dpi.dll。
以hello_world.sv这个例子为例,说明验证环境搭建。在这个文件目录下,有3个文件。
Hello_world.sv: 验证的代码
Run.bat: windows运行的脚本
Sim.do: modelsim运行的脚本文件
对于hello_world.sv,该程序,就是输出一个hello uvm。
`include "uvm_pkg.sv" module hello_world_example; import uvm_pkg::*; `include "uvm_macros.svh" initial begin `uvm_info("info1","Hello UVM!",UVM_LOW) end endmodule: hello_world_example
对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本。
对于sim.do文件
代码
#Time: 2016-07-19
#By : times_poem
quit -sim
cd F:/UVM/prj00
set UVM_DPI_HOME D:/software/modelsim10/uvm-1.1b/win64
if [file exists work] {
vdel -all
}
vlib work
vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello_world.sv
vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello_world_example
run 100
设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置
建立work library
编译hello_world.sv代码,通过-L 指定编译需要的几个library
执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_dpi.dll,然后针对于哪一个module进行仿真
运行100ns
2 运行代码
执行,也很简单了,直接对run.bat双击。如果run.bat运行不成功,可以选择打开modelsim,再输入如下指令指定运行路径
cd F:/UVM/prj00
再输入执行命令
do sim.do
3 显示结果
就会调用modelsim,然后开始执行sim.do脚本。最后,就会显示Hello UVM。
至此,验证环境搭建成功。后面,就是增加代码文件,然后在sim.do文件中,对增加的代码文件进行编译,然后针对于顶层module进行仿真即可。
源码下载链接:http://pan.baidu.com/s/1qXLXrLM 密码:s9h7
相关文章推荐
- ubuntu 12.10 上 android 编译环境搭建的深入解析
- Hibernate环境搭建与配置方法(Hello world配置文件版)
- Knockoutjs的环境搭建教程
- LINUX 生产环境搭建推荐教程
- ssh项目环境搭建步骤(web项目)
- 怎样搭建PHP开发环境
- Android开发环境搭建
- go语言环境搭建简述
- Laravel框架学习笔记(一)环境搭建
- 从搭建Struts2 开发环境说起
- Hadoop2.X/YARN环境搭建--CentOS7.0 JDK配置
- Hadoop2.X/YARN环境搭建--CentOS7.0系统配置
- Python学习笔记(一)(基础入门之环境搭建)
- Hadoop 2.x伪分布式环境搭建详细步骤
- Linux下获取公网IP地址的方法
- Linux下快速安装部署远程连接软件SSH的简明教程
- Linux系统中Squid代理服务器配置全过程解析
- LAMP+zend+eaccelerator环境搭建详细教程(适合初学者)
- LAMP环境搭建教程(原创)