您的位置:首页 > 其它

Design Compiler常用命令之时钟约束

2016-06-08 21:20 218 查看

Design Compiler常用命令之时钟约束

create_clock:该命令是为你的design产生一个虚拟时钟,作为你设计时序的计算起点。基本上此时你的design中寄存器到寄存器之间的时序约束就有了。
        使用方法:create_clock  -period  10  [get_ports  clk];#在端口上加上一个周期为10ns的虚拟时钟

set_clock_uncertainty:该命令是模拟时钟clk的skew和jitter。
        使用方法:set_clock_uncertainty   -setup  0.5        [get_clocks clk]

set_clock_transition:该命令是模拟时钟跳变的斜坡的,是指从时钟高电平的10%到达时钟高电平的90%所需要的时间。

        使用方法:set_clock_transition    -max   0.5        [get_clocks clk]

set_clock_latency:该命令的作用是设置时钟延时,分为source delay和network delay。

        使用方法:set_clock_latency  -source -max 1 [get_clocks clk];#约束时钟的source delay:指从外部晶振到达           芯片引脚所需要的延时时间;

         set_clock_latency  -max  1  [get_clocks clk] ;#约束时钟的network delay:指从芯片引脚到达触发器的时          钟端口所需要的时间。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息