Design Compiler常用命令之时钟约束
2016-06-08 21:20
218 查看
Design Compiler常用命令之时钟约束
create_clock:该命令是为你的design产生一个虚拟时钟,作为你设计时序的计算起点。基本上此时你的design中寄存器到寄存器之间的时序约束就有了。使用方法:create_clock -period 10 [get_ports clk];#在端口上加上一个周期为10ns的虚拟时钟
set_clock_uncertainty:该命令是模拟时钟clk的skew和jitter。
使用方法:set_clock_uncertainty -setup 0.5 [get_clocks clk]
set_clock_transition:该命令是模拟时钟跳变的斜坡的,是指从时钟高电平的10%到达时钟高电平的90%所需要的时间。
使用方法:set_clock_transition -max 0.5 [get_clocks clk]
set_clock_latency:该命令的作用是设置时钟延时,分为source delay和network delay。
使用方法:set_clock_latency -source -max 1 [get_clocks clk];#约束时钟的source delay:指从外部晶振到达 芯片引脚所需要的延时时间;
set_clock_latency -max 1 [get_clocks clk] ;#约束时钟的network delay:指从芯片引脚到达触发器的时 钟端口所需要的时间。
相关文章推荐
- 使用Eclipse开发工具如何解决Java Compiler中Annotation Processin不出现的问题
- 解决“C compiler cannot create executables”的错误
- IntelliJ IDEA编译Android项目比Eclipse慢
- Error C3867解决方法
- 使用autoconf、automake进行外部编译
- Visual Web Developer 2005 速成版 生成DLL的问题
- assert 的两种用法
- Linux如何配置nVIDIA显卡驱动
- javascript的编写、调试
- 软件项目版本号的命名格式
- Tech memo of imx27 pdk (1) -- For kernel building on imx27 pdk with ltib
- 电子书籍下载网址
- C# 静态构造函数
- codeblock和C99标准
- boost链接库无法打开问题解决方法
- [转]New Features of C++0x
- java笔记
- ubuntu automake
- 利用pre-compiled headers技术以加速编译速度--以Borland C++ Builder为例(五)
- Project facet Java 1.8 is not supported by target runtime Apache Tomcat v8.0.