您的位置:首页 > 其它

图像采集处理之Vivado设计

2016-01-26 16:56 239 查看
在这之前给出了整个硬件工程数据流向,在此首先给出整个硬件工程架构:



这里面主要讨论的是AXI_HP接口、VDMA相关参数的配置和Vivado使用的一点技巧。软件方面的设计在以后的讨论的时候给出
AXI_HP接口为PL访问DDR和OCM提供了高带宽的数据通路。每个AXI_HP接口都有两个FIFO缓冲器,一个是读缓冲,一个是写缓冲。为了强调它们具有缓冲的能力,AXI_HP端口也常称为AFI(AXI_FIFO接口)
AXI_HP分成两部分,一部分连接到PL,另一部分量接到AXI Interconnect,从而访问DDR和OCM,除此之外,AXI_HP不能访问别的从设备。
每个AXI_HP都包括数据和控制FIFO(为大数据突发传输提供缓冲)1200MB/S是PS中的DMAC速度的两倍(空间换时间)。如果控制流需要CPU专门配置,那么这部分配置信息的数据量很小,可用M_AXI_GP做一些配置用户IP要访问的存储器地址和交易状态的任务。

VDMA是硬件设置中重点,以前没用DMA之类的外设传输过数据,这次利用这个类似DMA的VDMA搞清楚这一点。
stream data width是每个像素占用的比特位
frame buffer的个数和后面再软件设计中的帧起始地址个数相对应(起始地址合理的设计在DDR空间中)
通过VDMA的基地址配置VDMA的寄存器,用以实现数据传输需要的读写功能



本设计使用一个HP接口实现读写功能。根据视频数据每帧的大小来设计帧的起始地址(可以稍稍大点)

vivado设计的时候主要注意HLS IP核的添加,将生成的HLS IP Core添加到IP仓中,以便调用。首先在在IP Setting的界面中--->用add reposiyory添加包含HLS IP的xxx.zip ip文件夹----->用add ip添加相应的.zip IP核文件

Reference:1、http://comm.chinaaet.com/adi/blogdetail/35965.html      vivado轻松实现IP封装
2、http://www.wtoutiao.com/p/hf3xe7.html         利用Vivado实现IP核封装

3、http://blog.chinaaet.com/fuxiao/p/37142      如何快速在Vivado IPI中使用HLS生成的IP

4、http://xilinx.eetop.cn/viewnews-1542       十招加速Vivado IP Integrator设计

5、http://blog.csdn.net/rzjmpb/article/details/50516181        使用VDMA在PL和PS之间传输视频流数据


下一次开始软件方面的设计工作,欢迎大神指正讨论。。。。。



内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: