您的位置:首页 > 其它

run.do 文件编写说明

2015-12-07 17:43 531 查看
#停止仿真
quit -sim
#清除信息
.main clear

#建立物理路径lib
vlib ./lib/
#建立物理路径work_a
vlib ./lib/work_a/
#映射逻辑库至物理路径
vmap base_space ./lib/work_a/
#对tb_mealy.v进行编译,把编译文件映射至base_space逻辑库(-work是编译指令)
vlog -work base_space ./tb_mealy.v

#创建design物理路径
vlib ./lib/design/
#映射design逻辑库至物理路径
vmap design ./lib/design/
#编译design文件夹里的.v文件,生成的编译文件映射到design逻辑库(*.v表示所有的.v文件,../表示在当前文件夹下,向上翻一级文件夹)
vlog -work design ./../design/*.v

#启动仿真,把逻辑库文件链接写出,方便链接到(-t仿真精度,-voptargs=+acc启动优化仿真,-L访问的链接)
#如果找不到默认的work库,那么就在我们链接的逻辑库里面找,其中我们必须把顶层文件指明出来(base_space.tb_mealy代表的是:逻辑库.顶层文件)
vsim -t ns -voptargs=+acc -L base_space -L design base_space.tb_mealy

#添加虚拟信号-添加名叫vir_new_signal的虚拟枚举
virtual type {
{01 S1}
{02 S2}
{04 S3}
{08 S4}
{10 S5}
{20 S6}
} vir_new_signal

#添加一个tb_mealy分划线波形
add wave -divider {tb_mealy}
#添加顶层文件tb_wave里的所有变量波形(*代表是文件的缩写)
add wave tb_mealy/*
#添加一个mealy分划线波形
add wave -divider {mealy}
#添加例化文件mealy_inst里的所有变量波形
add wave tb_mealy/mealy_inst/*
#创建虚拟函数,吧Curr_st用new_state显示
virtual function {(vir_new_signal) tb_mealy/mealy_inst/Curr_st } new_state
#添加new_state虚拟波形
add wave -color red tb_mealy/mealy_inst/new_state

#跑1us时间
run 1us
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: