您的位置:首页 > 其它

verilog disable 用法 (易错!)

2015-12-03 10:12 369 查看
disable语句可以退出任何循环,能够终止任何begin..end块的执行,用于仿真验证中。
例如
begin:one
for(i=1;i<5;i=i+1)
begin:two
if(a==0)
disable one; //从one这个begin..end 中跳出,终止了for
if(a==1)
disable two;//从two这个begin..end块中跳出,从本次循环中跳出
end
end
网上看到的下面这个例子,一个意思:
begin : Break
forever
begin : Continue
...
disable Continue; //
继续下一个迭代
...
disable Break; // 退出forever 循环
...
end // 继续
end //
终止
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: