您的位置:首页 > 其它

PicoBlaze软核使用例程

2015-08-06 12:00 183 查看
PicoBlaze完整例程说明
1、说明

      不同芯片型号对应不同的PicoBlaze版本!请选择下载。

      以下例程使用的芯片型号:xc6slx16-3csg324

PicoBlaze下载地址:

        http://www.xilinx.com/ipcenter/processor_central/picoblaze/member/

2、例程准备

      此处不再对PicoBlaze的架构、性能、指令集、特点等进行介绍,网上多的是。下载对应S6器件的PB压缩包中的所以资料如下所示。



User_Guide很有用,可以仔细阅读,不读也不影响对本入门例程的理解。本文例程用的文件有kcpsm6.exe和Verilog文件夹下的kcpsm6.v、ROM_form.v(使用VHDL语言的朋友可以将.v文件改为.vhd文件)。

此外,还要贴个图,如下所示。这就是PB软核嵌入到程序的具体结构,有两个部分,对应两个.v程序;其中kcpsm6为处理器,在压缩包里可以找到这个kcpsm6.v文件,不需要做改动;另外一个就是实现具体功能的程序文件,以下例程的主要操作集中在实现这个.v文件上。



3、例程实现

      实现流程如下

1)程序功能实现,在新建txt文件内使用汇编语言编写,完成后将文件后缀修改为psm,本例程的psm文件为LED.psm。

2)将kcpsm6.exe、ROM_form.v和LED.psm放在同一个文件夹内(建议新建一个文件夹)。如下所示。



双击kcpsm6.exe,出现以下界面



输入文件名称



程序自动编译完成,生成相应文件,按Q退出。



文件夹内多出几个文件,其中LED.v即是目标文件。



3)建立ISE工程,编写顶层文件,添加kcpsm6.v、LED.v文件到工程,完成器件例化,管脚绑定。编译工程,例程结束!

 

4、说明

      本例程主要说明了PicoBlaze软核程序功能文件(LED.v)的实现,ISE工程实现没有说明具体过程。

      例程LED.psm文件并非本人编写,而是来自tengjingshu的博客,其对PicoBlaze软核的使用有详细连续的介绍,本人获益良多,非常感谢!其博客地址如下。

      http://bbs.ednchina.com/blog_index.jspa?blog_id=41406&entry_month=&viewall=true&curr_page=8&sort=0

 

参考资料

1)PicoBlaze软核下载地址:

http://www.xilinx.com/ipcenter/processor_central/picoblaze/member/

2)本例程下载地址:

http://download.csdn.net/detail/kpsuwen/8967515

3)PicoBlaze软核详细实现流程-tengjingshu的博客:

http://bbs.ednchina.com/blog_index.jspa?blog_id=41406&entry_month=&viewall=true&curr_page=8&sort=0

 

 
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签:  PicoBlaze FPGA Xilinx