您的位置:首页 > 其它

modelsim 的高效使用

2015-05-29 17:26 211 查看
大概的思路:

1、往modelsim 添加仿真库。

2、将Verilog 文件,testbench文件提出。建好文件夹。比如uart仿真: uart_sim文件夹下:rtl文件夹,test_bench文件夹。test_bench文件夹下sim文件夹。

3、打开modelsim,改变当前目录为sim文件夹下。

4、新建testbench.do文件。输入如下命令:

#
destroy .wave
quit -sim
vlib work
vmap work work
vlog -cover bces -incr {../../rtl/*.v}
vlog -nocoverage -incr {../*.v}
#vlog -nocoverage -incr {../../xilinx_modelsim/*.v}
vsim +ALL_TESTCASE -coverage -novopt -L unisims_ver -L unisim -L unimacro_ver -L unimacro -L unifast_ver -L unifast -L simprims_ver -L secureip work.test_bench #因为仿真库已经加入,-L 参数为链接仿真库
#vsim +UT_TESTCASE_001 -coverage work.testcase
#vsim +UT_TESTCASE_002 -coverage work.testcase
#vsim +UT_TESTCASE_003 -coverage work.testcase
do wave.do # 此处在保持wave.do后有效
#simulates all signals including hidden signals
log -r *
run 1ms
coverage report -recursive -select bces -file Coverage.txt
coverage report -totals -file CoverageResult.txt
coverage report -lines -byinstance -select bces -file CoverageDetail.txt

5、运行 do testbench.do。加入需要的相关信号,保存为wave.do。

6、新建testbench.bat, 加入vsim -do testbench.do 。

7、关闭所有,双击testbench.bat,完成1ms的仿真看波形。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: