您的位置:首页 > 其它

arp协议在fpga上的一个小实验

2015-05-04 22:23 197 查看
上一篇在研究arp时,实在pc系统内进行。然后又做了个pc和fpga千兆以太网的通信。

大致硬件框架:



在fpga中根据以太网的传输格式,写一个简单IP核,只对arp协议做判断。

如下:


因为88E1111使用的是RGMII接口,使用ddrio模块转换一下

如下:



在nios软件中将mac的ip地址和mac地址初始化一下,如下



在pc端,看看arp表



没有192.168.3.8

用ping命令来产生arp协议数据。



用wireshark抓包



成功

再看看arp表



看到已经缓存到arp表中。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: