您的位置:首页 > 编程语言

FPGA几种常见设计思想,在编程的过程中会慢慢体会到

2014-12-29 16:00 232 查看
1乒乓操作:“乒乓操作”是一个常常应用于数据流控制的处理技巧,典型的乒乓操作方法如图 1 所示。



2 串并转换

p2s:核心算法:if(en)

Buffer=datain;

else

buffer= {buffer[6:0],1’b0} //很多地方直接写成{buffer,1'b0} 编译虽然能够通过但是会产生警告

Dataout=buffer[7];

s2p:

buffer= {buffer[6:0],datain}

Dataout = en?buffer:8’b0;

3 流水线



4 数据接口的同步方法

1.增加同步信号与存储模块

a) 同步使能

b) 增加缓冲

2.添加约束

a) 提高工作频率

b) 时序约束
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: