您的位置:首页 > 其它

Modelsim仿真包含quartus ROM的工程文件的问题

2014-11-06 10:03 477 查看
原文地址:http://bbs.ednchina.com/BLOG_ARTICLE_3019902.HTM

最近在用Modelsim仿真包含Quartus IP核的工程时,发现了一系列问题,现在把我遇到的问题记录下来,一则便于以后可以查找,另一方面希望能给其他人一丢丢的帮助。

首先我直接在Quartus中直接使用Modelsim接口进行Modelsim仿真,然后编译通过,但是在仿真时就出现了这个错误:Instantiation of 'altsyncram' failed. The design unit was not found。其实这个问题的原因就是你的工程文件里缺少了仿真库文件altera_mf.v,解决办法也很简答,到Quartus工具安装目录下的“dea\sim_lib”目录中找到altera_mf文件,放到工程在编译一道就可解决。关于这个问题的更多内容,可以参考一下网址:http://blog.163.com/znn04006518@126/blog/static/1182561252011107102234956/

另外,在成功编译进行仿真时,我发现了另外一个问题,当我用Matlab产生ROM的初始值时,我产生了较大的数,最后仿真时系统报错,原因是我的初始化文件的数据无效,我仔细对比了出错的数据,发现原来是我的数据超出了仿真的范围,最后我改掉之后成功仿真。可见,在Modelsim仿真ROM时,还要注意仿真器的极限值。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: