您的位置:首页 > 其它

ModelSim中如何指定信号的数值进制

2014-05-10 17:39 856 查看
modelsim仿真中的波形文件默认显示是二进制,而在仿真的过程中16进制的数据查看可能会更方便。

有二种方式波形文件显示的数值的进制,第一种是直接修改配置文件,第二种是使用do文件时,指定波形的显示进制

第一种方法:

可以通过修改modelsim安装目录下面的modelsim.ini文件里面的DefaultRadix 值实现

; Default radix for all windows and commands.

; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned

DefaultRadix = symbolic

其默认值为symbolic,如果希望得到16进制数据显示方式,将默认值修改为hex即可。

第二种方法:

在使用do文件进行仿真时,在添加信号到波形的这一步骤中,可以指定信号的显示方式

如:

add wave -hex /pulse_out_top_inst/Act_x //Act_x的波形数据将会以十六进制的方式显示

add wave -decimal /pulse_out_top_inst/Act_y //Act_y的波形数据将会以十进制的方式显示
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: