您的位置:首页 > 其它

verilog 第一个程序:LED闪烁

2014-04-05 12:01 381 查看
//led闪烁测试模块
//使用外部50MHz晶振作为时钟,周期20ns
//亮灭周期1s
module led_test1(
clk,
rst_n,
led
);

input clk;
input rst_n;
output led;

reg [27:0] cnt; //定义一个计数器计时

always @ (posedge clk or negedge rst_n)
if(!rst_n)
cnt<=0;  //复位置0计数器
else if(cnt<=27'd50000000)   //需要经过50000000个周期才达到1s
cnt<=cnt+1'd1;
else
cnt<=0;

assign led=(cnt<=25000000) ? 1'b1:1'b0;  //占空比50%

endmodule


RTL视图

内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: