您的位置:首页 > 其它

verilog选择数据类型时常犯的错误

2013-11-01 18:30 127 查看
信号可以分为端口信号和内部信号。出现在端口列表中的信号是端口信号,其它的信号为内部信号。

对于端口信号,输入端口只能是net类型。输出端口可以是net类型,也可以是register类型。若输出端口在过程块中赋值则为register类型;若在过程块外赋值(包括实例化语句),则为net类型。

内部信号类型与输出端口相同,可以是net或register类型。判断方法也与输出端口相同。若在过程块中赋值,则为register类型;若在过程块外赋值,则为net类型。

若信号既需要在过程块中赋值,又需要在过程块外赋值。这种情况是有可能出现的,如决断信号。这时需要一个中间信号转换。

下面所列是常出的错误及相应的错误信息(error message)

n 用过程语句给一个net类型的或忘记声明类型的信号赋值。

信息:illegal …… assignment.

n 将实例的输出连接到声明为register类型的信号上。

信息:<name> has illegal outputport specification.

n 将模块的输入信号声明为register类型。

信息:incompatible declaration,<signal name>
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: