您的位置:首页 > 其它

计数器设计实验

2013-03-06 11:18 239 查看
module CNT10(CLK,RST,EN,LOAD,COUT,DOUT,DATA);

input CLK,EN,RST,LOAD ;

input [3:0] DATA;

output [3:0] DOUT;

output COUT;

reg [3:0] Q1; reg COUT;

assign DOUT=Q1;

always @(posedge CLK or negedge RST)begin

if(!RST) Q1<=0;

else if(EN)begin

if(!LOAD) Q1<=DATA;

else if(Q1<9)Q1<=Q1+1;

else Q1<=4'b0000; end

end

always@(Q1)

if(Q1==4'h9) COUT=1'b1;

else COUT=1'b0;

endmodule
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: