您的位置:首页 > 其它

ModelSim SE 6.1f使用小技巧--在波形中添加信号

2012-11-09 00:45 246 查看
我们在用ModelSim进行波形仿真的时候,有时候可能需要查看代码中定义的信号的波形,但是ModelSim默认不给出,怎么办呢?

用列子说明吧。



这是一般的结果,现在我想查看代码中定义的PC波形。就可以这样,现在左边窗口选中一个,然后,在中间窗口

找到需要的信号,如PC;再把PC拖到右边的窗口(在PC上按住左键不放,哦哦)。



接下来,这样:点击黑色框的按钮,再点击红色框的按钮;第三部点击黄色框的按钮,最后根据需要与否决定是否点击绿色框的放大按钮。



至此,大功告成。。。。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: