您的位置:首页 > 其它

verilogHDL 位选择与部分选择

2012-10-26 16:54 197 查看
位选择与部分选择

从向量中抽取特定的位:

reg [7:0] State;

State[1]

wire [7:0] Data;

Data[1]

从向量中抽取若干位:

reg [7:0] State;

State[6:3]

wire [7:0] Data;

Data[5:2]

声明net类型时的可选项,缺省值scalared net;

如果对某个net类型声明了vectored,就不允许对该net进行位选择和部分选择,而必须对net整体赋值。

wire vertored [7:0] data;

 
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: