Dual port RAM with enable on each port( vhdl )
2012-05-16 12:15
330 查看
-- Dual port RAM with enable on each port -- Xilinx rams_14 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity dp_ram is port(clk : in std_logic; ena : in std_logic; enb : in std_logic; wea : in std_logic; addra : in std_logic_vector(10 downto 0); addrb : in std_logic_vector(10 downto 0); dia : in std_logic_vector(7 downto 0); doa : out std_logic_vector(7 downto 0); dob : out std_logic_vector(7 downto 0) ); end dp_ram; architecture rtl of dp_ram is type ram_type is array(2047 downto 0) of std_logic_vector(7 downto 0); signal RAM : ram_type; signal read_addra : std_logic_vector(10 downto 0); signal read_addrb : std_logic_vector(10 downto 0); begin process(clk) begin if rising_edge(clk) then if ena = '1' then if wea = '1' then RAM(conv_integer(addra)) <= dia; end if; read_addra <= addra; end if; if enb = '1' then read_addrb <= addrb; end if; end if; end process; doa <= RAM(conv_integer(read_addra)); dob <= RAM(conv_integer(read_addrb)); end rtl;
相关文章推荐
- Dual-Port Block RAM with Two Write Ports and Byte-wide Write Enable in Read-First Mode
- 基于DUAL-PORT RAM的双CPU快速通讯
- Xilinx ISE 14.1中模拟True Dual Port RAM例子
- simple dual port ram与true dual port ram区别
- Hosting Multiple Service Implementations On The Same Port With WCF
- Enable SPI 1.0 and 1.1 with device tre overlays on BeagleBone
- Enable rcp/rlogin on solaris with LDom configured
- 异步双口RAM的综合【异步Dual Port RAM(DPRAM)】
- -[WXOGCDAsyncSocket enableBackgroundingOnSocketWithCaveat:] in libWeChatSDK.a(GCDAsyncSocket.o)
- [zz]Enable Blocked Attachments on Outlook 2010 with Outlook Attachment Enabler
- Error connecting to server localhost on port 1527 with message Connection refused
- 解决Starting to watch source with Jekyll and Compass. Starting Rack on port 4000
- True Dual Port RAM的使用说明
- messages里面出现的错误信息 avahi-daemon[3162]: Recieved repsonse with invalid source port 23335 on interface 'eth0.0'
- Enable rsh on MAC OS with command line
- Enable JMX on tomcat and debug with eclipse(linux)
- Proxy and site on same port with Apache 2.2
- Howto Enable and Use A2DP Sink on Ubuntu Linux with Bluez
- Data transfer from GPIO port to RAM buffer using DMA upon receiving a trigger signal on the timer capture input channel.
- [Twitter] Given a matrix with all elements sorted on each individual row and column find