您的位置:首页 > 其它

wndows下如何用Iverilog+GTKWave进行verilog的编译和查看仿真波形

2012-04-17 20:44 459 查看
在申请到本博客之前,我已在CSDN和ChinaUNIX,发表了这几个文章,这里就给出几个链接吧...

文章一:

/article/2937443.html

文章二:

/article/2937444.html

文章三:

/article/2937445.html

文章四:

http://blog.chinaunix.net/uid-25148957-id-3179671.html

倘若有讲的不对的地方,欢迎指正!
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: