function 与 task
2011-01-08 22:01
316 查看
`timescale 1ns/1ps
//函数测试
//2011-1-8
module tb3;
reg clk;
integer i;
initial begin
i=0;
end
initial begin
clk=0;
forever begin
#10;
clk=~clk;
end
end
task task_test;
begin
@(negedge clk);
i=i+1;
$display("i=%d",i);
end
endtask
//function 不可行
//function fun_test;
//begin
// @(negedge clk);
// i=i+1;
// $display("i=%d",i);
//end
//endfunction
initial begin
repeat(10) begin
task_test();
end
$stop;
end
endmodule
//函数测试
//2011-1-8
module tb3;
reg clk;
integer i;
initial begin
i=0;
end
initial begin
clk=0;
forever begin
#10;
clk=~clk;
end
end
task task_test;
begin
@(negedge clk);
i=i+1;
$display("i=%d",i);
end
endtask
//function 不可行
//function fun_test;
//begin
// @(negedge clk);
// i=i+1;
// $display("i=%d",i);
//end
//endfunction
initial begin
repeat(10) begin
task_test();
end
$stop;
end
endmodule
相关文章推荐
- error: 'TASK_INTERRUPTIBLE' undeclared (first use in this function)
- SV中的task和function
- task和function语法的使用讨论(Verilog,CPLD/FPGA)
- Verilog中任务(task)和函数(function)
- Verilog HDL中task与function的区别
- task 与 function的区别
- error: 'TASK_INTERRUPTIBLE' undeclared (first use in this function)
- error: 'TASK_INTERRUPTIBLE' undeclared (first use in this function)
- 调试update task function module的方法
- (原創) 如何使用C開發Verilog System Task/Function? (SOC) (Verilog) (Verilog PLI)
- Task And Function (of Verilog HDL)
- System Task and Function (of Verilog HDL)
- Veriog中的四种结构(initial,always,task,function)
- task and function(systemverilog)
- Task 和 Function
- Linux驱动编程编译模块时出现 error:'TASK_NORMAL' undeclared (first use in this function
- error: 'TASK_UNINTERRUPTIBLE' undeclared (first use in this function)和error: 'TASK_NORMAL' undeclare
- Verilog HDL语法学习(1)——函数(function)与任务(task)的使用
- Verilog HDL中task与function的区别
- 结束任务函数 Endtask function