您的位置:首页 > 其它

function 与 task

2011-01-08 22:01 316 查看
`timescale 1ns/1ps
//函数测试
//2011-1-8
module tb3;
reg clk;
integer i;
initial begin
    i=0;
end
initial begin
    clk=0;
    forever begin
        #10;
        clk=~clk;
    end
end
task task_test;
begin
    @(negedge clk);
    i=i+1;
    $display("i=%d",i);
end
endtask
//function 不可行
//function fun_test;
//begin
//    @(negedge clk);
//    i=i+1;
//    $display("i=%d",i);
//end
//endfunction
initial begin
    repeat(10) begin
        task_test();
    end
    $stop;
end
endmodule
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: