您的位置:首页 > 其它

ModelSim显示模拟波形

2011-01-02 14:24 211 查看
问题是,要看一个振幅调制的输出波形,ModelSim默认的是数字输出,想直接看波形。


这个问题应该不难,想想看ModelSim肯定支持这种功能,只是要摸索一下。一般都是点右键,果然有一个Format,里面有一个Analog选项,点上它,弹出了对话框,先用默认的试试看,输出波形感觉显示的幅度太小,看起来不方便,再回过头来把对话框里的值调整一下,得到了一个比较好的波形。不过问题就出现了,出来的波形上下重叠了。

菜单栏里逐个找了一遍,发现也有一个Format,里面有height这个选项。打开看,默认是17,改大一点看,比如50,输出显示的信号之间的间距就拉大了,就不会再重叠了。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: