您的位置:首页 > 编程语言 > C语言/C++

基本运算单元的高层次综合:C/C++ to RTL

2010-05-26 14:27 204 查看
本文以加法为例:
//----------------------------------------------------
//adder.c
//---------------------------------------------------

void adder(int a, int b, int *sum)
{
*sum = a + b;
}
[size=3]

[/size]
HLS工具(AutoPilot)综合之后的结果:
//---------------------------------------------------
//adder.v
//--------------------------------------------------

`timescale 1 ns / 1 ps
module adder (
a,
b,
sum
);
input  [31:0] a;
input  [31:0] b;
output  [31:0] sum;
assign sum = (b + a);
endmodule //adder
[size=3]

[/size]
//---------------------------------------------------
//adder.vhd
//---------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;

library work;
use work.AESL_components.all;

entity adder is
port (
a : IN STD_LOGIC_VECTOR (31 downto 0);
b : IN STD_LOGIC_VECTOR (31 downto 0);
sum : OUT STD_LOGIC_VECTOR (31 downto 0) );
end;

architecture behav of adder is

begin

sum <= esl_add(b, a);
end behav;
[size=3]

[/size]
备注:加减乘除、位运算、逻辑运算等等基本的C/C++运算都可以很方便的用AutoPilot综合成对应的RTL代码(verilog/vhdl)[/size]
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: 
相关文章推荐