您的位置:首页 > 编程语言 > C语言/C++

SystemC——一套将C++扩展为硬件描述语言的Class Library (初学SystemC有感)

2008-04-10 01:54 736 查看
这几日接接触SystemC,有些感触:
1. SystemC 是一套C++ Class Library,将C++扩展成了一门硬件描述语言(HDL)。我感觉,学习SystemC至少要懂两样东西:C++、一门硬件描述语言。我熟悉C++,并且熟悉Verilog,因此学SystemC能举一反三、触类旁通。
2. SystemC 使用了C++的很多特性:多重继承、虚拟继承、模板、RTTI (at least for dynamic_cast)、异常处理、操作符重载。似乎没有使用STL。
3. SystemC 是一套Application Framework,个人感觉,甚至和MFC颇有相似之处:
一些somewhat古怪的宏:SC_METHOD、SC_THREAD、SC_CTOR 等等 一个全局的manager sc_simcontext (类似MFC中的CWinAPP),用sc_get_curr_simcontext()能得到该全局对象的地址(类似MFC中的AfxGetApp() ) main() 藏身于SystemC中,你要做的是写一个sc_main()函数作为程序的入口,SystemC会调用你的sc_main() 使用表驱动技术,就像MFC中的Message传递。SystemC用上面那些宏将class(SystemC称为module)的member function(SystemC称为process)注册到全局的表中。然后SystemC这套Application Framework会根据发生的事件来调用你的代码。
4. 从实现技术上看,SystemC有自己的garbage collector、自己的 memory pool、自己的容器(替代STL)。
个人体会:SystemC 在WinXP + VS.NET 2003下的使用步骤
1. SystemC 以源码方式发布,先使用VC7.1编译得到一个库文件:systemc.lib
2. 我们写的 SystemC 程序实际上是C++程序,写好一个SystemC程序(或者叫设计),用C++编译器编译为object文件,再与systemc.lib链接得到可执行文件。
3. 执行此exe文件,进行仿真。从输出(文件或stdout)得知仿真结果。
附:一个最简单的SystemC module
#include "systemc.h"
// 半加器
struct half_adder : sc_module
{
    sc_in a, b;
    sc_out sum, carry;
    void prc_half_adder()
    {
        sum = a ^ b;
        carry = a & b;
    }
    SC_CTOR(half_adder)
    {
        SC_METHOD(prc_half_adder);
        sensitive << a << b;       // a, b 在敏感列表中
    }
};
等效的Verilog设计:
module half_adder(a, b, sum, carry);
    input  a, b;
    output sum, carry;

    reg    sum, carry;
    
    always @(a or b)               // a, b 在敏感列表中
    begin
      sum = a ^ b;
      carry = a & b;
    end
    
    /* 以上五行可替换为:
    assign sum = a ^ b;
    assign carry = a & b;
    */
endmodule
我用的参考书:《SystemC 基础教程》 J.Bhasker著,孙海平等译,清华大学出版社。
平心而论,这本书翻译得还不错,基本可以放心阅读。
内容来自用户分享和网络整理,不保证内容的准确性,如有侵权内容,可联系管理员处理 点击这里给我发消息
标签: 
相关文章推荐